Percaya Nggak Percaya. GOODBYE 2010

Nggak terasa, kurang dari sehari lagi, kita bakal ngelepas 2010 dan menyambut tahun yang baru. Kalo udah masuk masa- masa pergantian tahun kayak gini biasanya kita jadi rada -rada gamang. "bakal kayak apa tahun depan?"


makanya yang namanya ramalan nasib, shio atau apapun namanya, jadi sangat laris. Soalnya, ya itu tadi. Kita- kita yang gamang ini butuh sedikit gambaran "sneak preview" sebagai pegangan buat melangkah, menapaki tahun yang baru.


Emang sih, urusan ramal-ramalan kayak gini tuh relatif. Ada yang percaya, ada juga yang nggak percaya. Bahkan ada yang pengen percaya tapi malu- malu. Nggak sedikit juga yang takut untuk percaya.


gw sendiri kebetulan termasuk orang yang sepakat sama filosofi "hidup itu seperti kotak cokelat" -nya Forest Gump (film favorit gw :D ). Bahwa apapun yang terjadi didalam hidup, itu adalah bumbu yang justru menambah kenikmatan hidup itu sendiri.


Tapi, gw nggak bakal nyalahin orang yang percaya mampus sama gitu- gituan. Selama masih dalam taraf wajar, tentunya. Kita kan nggak mungkin berharap semua orang sama dengan kita ya nggak?


Tabloid atau majalah yang hadir dengan rubrik shio -nya mungkin hanya untuk sekedar semangat. Buat yang percaya silahkan dicermati. Sementara buat yang nggak percaya, ya nggak ada salahnya juga dibaca. Demi pengetahuan tentunya (siapa tau suatu waktu nanti, lo suka sama seseorang yg percaya mampus sama ramalan shio? Lumayan buat bahan omongan!?).


Ya sudah. Silahkan dipikir lagi. Oh iya, Selamat Tahun Baru 2011, ya. Hopefully it'll be better year than the last! (Kid -oest News).

Seven Segment Display (SSD)

Dasar Teori

Seven segment (7-segments) adalah sebuah komponen untuk menampilkan bilangan 0 sampai 9 yang banyak digunakan pada aplikasi yang memerlukan tampilan angka. 7-segments pada dasarnya adalah LED (Light Emitting Diode), yaitu diode yang dapat mengeluarkan cahaya bila diberi tegangan pada pin-nya. Gambar di disamping ini memperlihatkan gambaran tentang 7-segment yang masing-masing segment diberi notasi mulai dari a, b, c, d, e, f, dan g.

LED tersebut terdiri dari 7 buah yang dihubungkan satu dengan lainnya. Cara menghubungkan pin pada seven segments ada 2 (dua) mode, yaitu Common Anode dan Common Katode. Common Anode adalah LED pada 7 segment semua pin anode-nya dihubungkan menjadi satu, sedangkan pin katoda dihubungkan ke port-port pada mikrokontroller. Common anode digunakan untuk rangkaian yang memerlukan aktif rendah (active low). Common katode adalah semua pin katoda pada 7 segments disatukan, sedangkan pin anoda dihubungkan ke port-port pada mikokontroller. Common katoda digunakan pada rangkaian yang memerlukan aktif tinggi (active high).

 Program 7 Segment yang berkaitan tentang VHDL dan FPGA   

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity sevseg is
 Port (
  clk  : in  std_logic; 
rst  : in  std_logic;      
  val  : in  std_logic_vector (15 downto 0); 
seg0 : in  std_logic_vector (3 downto 0); 
seg1 : in  std_logic_vector (3 downto 0);
seg2 : in  std_logic_vector (3 downto 0); 
seg3 : in  std_logic_vector (3 downto 0);
in  std_logic_vector (3 downto 0); 
wendp : in  std_logic_vector 
wenseg  : in  std_logic_vector (3 downto 0); 
useseg : in  std_logic; 
anout : out std_logic_vector (3 downto 0);
ctout : out std_logic_vector (7 downto 0)); 
end sevseg;

Logikanya adalah :
Untuk
 memasukkan titik desimal, mengatur atau menghapus nilai terkait dalam 
dp dan kemudian menggunakan topeng wendp yang memungkinkan untuk 
melakukan tindakan. For example if you set dp <= “1101″ and wendp
 <= “1110″, the module will turn the decimal points on for the two 
most significant digits and turn the decimal point off for the third 
most significant digit, but it won't change the least significant 
because wendp(0) is 0. Sebagai contoh jika Anda menetapkan dp 
<= "1101" dan 
selama dua digit paling signifikan dan putar titik desimal off untuk 
digit ketiga yang paling signifikan, tapi menang ' t perubahan paling 
signifikan karena wendp (0) adalah 0.