Seven Segment Display (SSD)

Dasar Teori

Seven segment (7-segments) adalah sebuah komponen untuk menampilkan bilangan 0 sampai 9 yang banyak digunakan pada aplikasi yang memerlukan tampilan angka. 7-segments pada dasarnya adalah LED (Light Emitting Diode), yaitu diode yang dapat mengeluarkan cahaya bila diberi tegangan pada pin-nya. Gambar di disamping ini memperlihatkan gambaran tentang 7-segment yang masing-masing segment diberi notasi mulai dari a, b, c, d, e, f, dan g.

LED tersebut terdiri dari 7 buah yang dihubungkan satu dengan lainnya. Cara menghubungkan pin pada seven segments ada 2 (dua) mode, yaitu Common Anode dan Common Katode. Common Anode adalah LED pada 7 segment semua pin anode-nya dihubungkan menjadi satu, sedangkan pin katoda dihubungkan ke port-port pada mikrokontroller. Common anode digunakan untuk rangkaian yang memerlukan aktif rendah (active low). Common katode adalah semua pin katoda pada 7 segments disatukan, sedangkan pin anoda dihubungkan ke port-port pada mikokontroller. Common katoda digunakan pada rangkaian yang memerlukan aktif tinggi (active high).

 Program 7 Segment yang berkaitan tentang VHDL dan FPGA   

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity sevseg is
 Port (
  clk  : in  std_logic; 
rst  : in  std_logic;      
  val  : in  std_logic_vector (15 downto 0); 
seg0 : in  std_logic_vector (3 downto 0); 
seg1 : in  std_logic_vector (3 downto 0);
seg2 : in  std_logic_vector (3 downto 0); 
seg3 : in  std_logic_vector (3 downto 0);
in  std_logic_vector (3 downto 0); 
wendp : in  std_logic_vector 
wenseg  : in  std_logic_vector (3 downto 0); 
useseg : in  std_logic; 
anout : out std_logic_vector (3 downto 0);
ctout : out std_logic_vector (7 downto 0)); 
end sevseg;

Logikanya adalah :
Untuk
 memasukkan titik desimal, mengatur atau menghapus nilai terkait dalam 
dp dan kemudian menggunakan topeng wendp yang memungkinkan untuk 
melakukan tindakan. For example if you set dp <= “1101″ and wendp
 <= “1110″, the module will turn the decimal points on for the two 
most significant digits and turn the decimal point off for the third 
most significant digit, but it won't change the least significant 
because wendp(0) is 0. Sebagai contoh jika Anda menetapkan dp 
<= "1101" dan 
selama dua digit paling signifikan dan putar titik desimal off untuk 
digit ketiga yang paling signifikan, tapi menang ' t perubahan paling 
signifikan karena wendp (0) adalah 0. 

Generasi Anak Ayam

Anak ayam.
Itu sebutan seorang teman terhadap generasi sekarang ini. Generasi kita. Generasi saya dan kamu.

Penjelasannya?? Gampang aja. Anak ayam itu gampang banget digiring- giring. Dimana dilihatnya ada sesuatu yang menarik- makanan, misalnya -disanalah dia akan menuju. Nyebur got, atau nyusruk ke balik semak pun dilakukan hanya demi mendapatkan apa yang dianggapnya menarik itu.

Begitu pun ketika dia melihat teman- temannya berkumpul, disanalah dia akan berada. Nggak peduli itu ditengah jalan yang ramai kendaraan, atau dilapangan yang jauh dari mana- mana asal ada teman- temannya, si anak ayam itu akan merasa aman.

Cupu memang. Tapi begitulah sifat anak ayam. Secara nggak langsung, sifat kayak gitu itu yang diliat sama temen gw itu dari generasi kita.

Coba aja liat, katanya. Setiap ada satu hal yang baru, atau lagi trendy, berbondong-bondong kita mencobanya. Peduli setan hal itu cocok atau nggak sama diri atau kantong kita, yang penting coba aja dulu.

Hal ini juga berlaku pada keseragaman gaya yang terjadi di generasi kita. Suka atau nggak, kata temen gw, muka anak-anak jaman sekarang tuh mirip. Nggak ada yang unik, yang ngebedain mereka satu sama lain. Satu rambutnya bergaya mocit (mohawk citos), lainnya pun begitu. Satu pake converse, lainnya ngikut beli converse. Satu asik ber J-Style, lainnya pun langsung ikutan.

"makanya gw suka ngerasa lagi berada diantara klub orang-orang kembar, kalo lagi jalan ke mal pas weekend...," celoteh temen gw.

Ngedenger celotehnya dan segala teori penuh dendam itu, gw cuma bisa tersenyum. Rada pahit. Solnya gimanapun sinis kedengarannya, apa yg dibilang sama temen gw itu ada benernya juga.

Satu-satunya pembelaan gw- nggak sampe terucap sih, cuma berhenti dikerongkongan- adalah, semua yang terjadi ini adalah sebuah proses. Proses yang wajar dialami oleh anak-anak seumuran kita. Proses yang disebut orang pinter sebagai pencarian jati diri.

Nah, nongol juga satu kekhawatiran di benak gw. Iya kalo proses ini akan berhenti disatu titik, kalo nggak??
Males juga sob! Masa iya sih, seumur hidup bakal kita abisin buat mencari jati diri, diantara segala hal yang sifatnya cuma semata?

Sementara temen-temen seumuran kita dibelahan dunia lain udah sibuk memaknai hidup dan lingkungan mereka mereka, bisa-bisa kita masih sibuk ngurusin warna apa lagi yang mau kita semprotin kerambut kita. Konyol kan??

Okelah. Sekarang kita boleh jadi anak ayam. Tapi, please, jangan cuma puas jadi anak ayam kalo emang kita bisa jadi ayam jago.
Setuju sob? (Kid- oest News).